我们几乎将所有光掩模都销售给半导体或 FPD 设计师、制造商和代工厂,以及其他高性能电子产品制造商。我们认为,对光掩模的需求主要取决于设计活动,而不是使用光掩模技术的产品的销售量。因此,半导体或 FPD 销售额的增加不一定会导致光掩模销售额的相应增加。此外,定制 IC 的使用减少、设计复杂性的降低、制造或设计半导体或 FPD 的技术或方法的其他变化,或新半导体或 FPD 设计的推出放缓,都可能减少对光掩模的需求 —— 即使对半导体和 FPD 的需求增加。从历史上看,微电子行业一直动荡不安,周期性出现急剧的衰退和放缓。这些负面趋势的特点包括产品需求减少、生产能力过剩以及销售价格加速下降等,从而对收入和盈利能力产生影响。
进一步的成员Abboud,Frank E. Ectronics,Crolles(法国)Levinson,Harry J.,HJL光刻,加利福尼亚州萨拉托加(美国)Maas,Maas,Raymond,Asml,Veldhoven(荷兰)Maly,Enrico,Photronics MZG,Dresden(德国) CEA-LETI,GRENOBLE(法国)Ronse,Kurt,IMEC,Leuven(比利时)Scheruebl,Thomas,Carl Zeiss SMT,Jena(德国)Schnabel,Ronald,Ronald,Vde E.V.德累斯顿 (德国) Schuch、Nivea、应用材料、格勒诺布尔 (法国) Sundermann、Frank、意法半导体、克罗尔 (法国) Tschinkl、Martin、Toppan Photomasks、德累斯顿 (德国) Varga、Ksenija、EV Group、Florian am Inn (奥地利) Wurm、Stefan、ATICE LLC、纽约州奥尔巴尼 (美国) Yoshitake、Shushuke、NuFlare Technology、横滨 (日本) Zeggaoui、Nassima、西门子工业软件、梅朗 (法国) Zurbrick、Larry、是德科技、圣克拉拉 (美国)
关于 eBeam 计划 eBeam 计划为基于电子束 (eBeam) 技术的新型半导体制造方法的教育和推广活动提供了一个论坛。该计划的目标是降低采用门槛,使更多的集成电路 (IC) 设计能够启动并加快产品上市时间,同时增加整个半导体生态系统对 eBeam 技术的投资。 成员遍布整个半导体生态系统,包括:aBeam Technologies;Advantest;Alchip Technologies;AMD;AMTC;Applied Materials;Artwork Conversion;ASML;Cadence Design Systems;Canon;CEA-Leti;D 2 S;大日本印刷;EQUIcon Software GmbH Jena;ESOL;EUV Tech;Fractilia;Fraunhofer IPMS;FUJIFILM Corporation;富士通半导体有限公司;GenISys GmbH;GlobalFoundries (GF);Grenon Consulting;日立高科技公司;HJL Lithography;HOLON CO., LTD;HOYA Corporation;IBM;imec;IMS CHIPS; IMS Nanofabrication AG;JEOL;KIOXIA;KLA;美光科技;Multibeam Corporation;NCS;NuFlare Technology;Petersen Advanced Lithography;Photronics;QY Mask;三星电子;中芯国际制造(上海)有限公司 (SMIC);西门子 EDA;意法半导体;新思科技;TASMIT;东京电子有限公司 (TEL);TOOL Corporation;凸版光掩模株式会社;UBC Microelectronics;Vistec Electron Beam GmbH 和蔡司。电子行业的所有公司和机构均可成为会员。如需了解更多信息,请访问 www.ebeam.org。
计划委员会:英特尔公司(美国)的Frank E. Abboud; UWE F.W.Behringer,UBC微电子学(德国); Ingo Bork,西门子Eda(美国); Brian Cha,Entegris,Inc。(韩国,共和国); Sandeep Chalamalasetty,Micron Technology,Inc。(美国);三星电子公司Jin Choi(韩国,共和国); Aki Fujimura,D2S,Inc。(美国); Emily E. Gallagher,IMEC(比利时); lasertec USA Inc. Arosha W. Goonesekera(美国); Naoya Hayashi,Dai Nippon Printing Co.,Ltd。(日本); Henry H. Kamberian,Photronics,Inc。(美国); Bryan S. Kasprowicz,美国Hoya Corp.(美国); Eung Gook Kim,E-Sol,Inc。(韩国,共和国); Romain Lallement,IBM Thomas J. Watson Research Ctr。(美国);英特尔公司(美国)Ted Liang; Nihar Mohanty,Meta(美国);肯特·H·纳川(Kent H. Dong-Seok Nam,ASML(美国);高海·奥努(Takahiro Onoue),霍亚公司(Japan)(日本); Danping Peng,TSMC北美(美国); Jed H. Rankin,IBM Corp.(美国);道格拉斯·J·雷斯尼克(Douglas J. Resnick),佳能纳米技术公司(美国); Carl Zeiss Sms Ltd.(以色列)的Thomas Franz Karl Scheruebl; Ray Shi,KLA Corp.(美国); Jaesik Son,SK Hynix System Ic Inc.(韩国,共和国);西门子Eda(美国)的Yuyang Sun; lasertec U.S.A.,Inc。Zweigniederlassung Deutschland(德国)Anna Tchikoulaeva(德国);克莱尔·范·拉尔(Claire Van Lare),荷兰ASML B.V.(荷兰); Yongan Xu,Applied Materials,Inc。(美国); Yamamoto Kei,Fujifilm Corp.(日本); Seung-Hune Yang,三星电子有限公司(韩国,共和国); Nuflare Technology,Inc。(日本)舒斯助Yoshitake; Bo Zhao,Meta(美国); Larry S. Zurbrick,Keysight Technologies,Inc。(美国)
业界精英调查其它要点( 2024 年 7 月进行) - 74% 的受访者认为,曲线形状的 逆向 光刻技术( curvilinear ILT )对非 EUV 的 193i 前沿节点有 用 —— 其中 29% 的人强烈同意这一说法,而去年这一比例为 24% 。 - 55% 的受访者表示,前沿节点的一些关键层已经在使用 逆向 光刻技术( ILT ),这一比例较去 年的 46% 和两年前的 35% 有所上升。 - 光罩制造中的软件基础设施仍然是生产曲线形状光罩的最大挑战。 - 对深度学习应用的预测有所延迟,今年有 54% 的受访者预测深度学习将在 2025 年之前成为 光罩制造过程中任何环节的竞争优势,而去年这一预测为 2024 年。 “ 我们期待在 SPIE 光罩技术会议期间度过激动人心的一周,届时 eBeam Initiative 将举办第 15 届年度光罩会议,展示半导体生态系统对这一合作论坛的持续支持, ”eBeam Initiative 的 的主办 管理公司 D2S 的首席执行官 藤村 (Aki Fujimura) 表示。 “ 现在是加入光罩行业的绝佳时机,近年 来该行业取得了强劲增长 —— 这证明了光罩社区内杰出人才的贡献,也彰显了该行业在推动半 导体创新方面的重要性。今年 eBeam Initiative 业界精英 调查的绝大多数参与者 —— 他们代表了 行业内顶尖的商业和技术专家 —— 都认为这一增长趋势将在 2024 年继续,这无疑是个好消息。 ” About The eBeam Initiative 关于 eBeam Initiative (电子束倡议团) eBeam Initiative 是一个致力于推广和倡导电子束技术在半导体制造全新应用的团体;为有关 电 子束技术的教育和促进活动 提供相应的论坛。 eBeam Initiative 的目标是增加电子束技术应用在 半导体制造各领域中的投资;降低电子束技术应用的障碍,能够使更多集成电路设计完成,并 且更快投进市场成为可能。会员公司 , 涵盖整个半导体生态系统,包括 : aBeam Technologies; Advantest; Alchip Technologies; AMD; AMTC; Applied Materials; Artwork Conversion; ASML; Averroes.ai; Cadence Design Systems; Canon; CEA-Leti; D 2 S; Dai Nippon Printing; EQUIcon Software GmbH Jena; ESOL; EUV Tech; Fractilia; Fraunhofer IPMS; FUJIFILM Corporation; Fujitsu Semiconductor Limited; GenISys GmbH; GlobalFoundries (GF); Grenon Consulting; Hitachi High-Tech Corporation; HJL Lithography; HOLON CO., LTD; HOYA Corporation; IBM; imec; IMS CHIPS; IMS Nanofabrication AG; JEOL; KIOXIA; KLA; Micron Technology; Multibeam Corporation; NCS; NuFlare Technology; Petersen Advanced Lithography; Photronics; QY Mask; Samsung Electronics; Semiconductor Manufacturing International (Shanghai) Corporation (SMIC); Siemens EDA; STMicroelectronics; Synopsys; TASMIT; Tokyo Electron Ltd. (TEL); TOOL Corporation; Toppan Photomask Corporation; UBC Microelectronics; Vistec Electron Beam GmbH and ZEISS. eBeam Initiative 面向和欢迎所有电子工业的公司和协会加盟。细节请查看 www.ebeam.org .