中国保持良好势头 产业政策势头加快……本季度,全球芯片生产回流占据了新闻头条。自我们 3 月份上次更新以来,美国《芯片法案》已拨款超过 810 亿美元,其他主要半导体生产国(如日本、韩国、欧洲)也纷纷效仿。中国还筹集了 3,440 亿元人民币(合 475 亿美元)——这是迄今为止最大一轮融资——用于支持国内芯片行业,以实现自给自足。(请参阅第 11 页:附录 – 546 亿美元美国《芯片法案》基金的部署)。……芯片领域的地缘政治紧张局势加剧。本季度,美国总统乔·拜登政府提高了对中国进口产品的关税,涉及半导体和电动汽车等关键战略领域。美国 1)撤销高通和英特尔向华为供应芯片的许可,2)随后对应用材料公司发出发货传票,就是进一步的例子。虽然迄今为止的限制措施收紧可能被解读为象征性的政治姿态,但目前不能完全排除进一步升级和/或地缘政治言论的可能性,尤其是在 11 月美国总统大选临近的情况下。(请参阅第 12 页:美国主要出口限制时间表)。贸易限制的下一步是什么?目前,任何人都无法猜测限制措施会收紧到何种程度。贸易限制的历史数据无法得出明确的结论。此外,看似有针对性的限制最终扩大到价值链的其他部分。例如,美国对中国尖端技术的扼杀始于半导体制造设备,随后延伸到芯片本身。此外,限制的程度也各不相同,从彻底的出口禁令到游说活动(即 ASML 和东京电子)再到提高关税。然而,似乎大多数限制措施的共同焦点都是“尖端技术”。
柏林比茨贝格,2021 年 4 月 30 日 公告 Berliner Glas 技术玻璃成为 Glas Trösch 的一部分 Glas Trösch 扩大其技术玻璃部门 瑞士家族企业 Glas Trösch 从 ASML Holding NV 收购了 Berliner Glas Group 的技术玻璃部门 此次交易包括位于施韦比施哈尔和瑟根施泰因的专门生产显示玻璃和触摸组件的公司。 两家公司未来都将以 EuropTec 品牌运营。 Glas Trösch 集团将整个技术玻璃部门整合到这个品牌下。 “我们很高兴,通过此次收购,EuropTec 能够显著扩大其产能和市场地位”,Glas Trösch 首席执行官 Fabian Zwick 表示。“这些公司拥有有趣的技术,并为未来提供了光明的潜力。” Berliner Glas Group 首席执行官 Andreas Nitze 补充道:“作为 Glas Trösch 的一部分,Berliner Glas Technical Glass 可以从市场目前提供的增长机会中获益更多。两家公司有很多共同点——首先是他们对现代建筑材料玻璃的专业知识和热情。这些是共同成功的最佳先决条件。” 此次收购不会对业务合作伙伴的人员和正在进行的活动造成任何变化。被收购的两家公司将继续专注于其在显示器玻璃和触摸组装业务方面的核心活动。 关于 Glas Trösch:瑞士 Glas Trösch 集团是一家蓬勃发展的家族企业,100 多年来一直致力于玻璃的多样化发展。该公司在 70 多个地点拥有约 6,000 名员工,是当今欧洲最大的玻璃制造商和玻璃加工商之一。 关于 Berliner Glas Group:Berliner Glas Group 是全球领先的光学关键组件、组件和系统供应商之一。 Berliner Glas Group 拥有 1,600 多名员工,致力于为客户开发、生产光学、机械和电子元件并将其集成到创新的系统解决方案中。作为从概念到批量生产的 OEM 合作伙伴,Berliner Glas Group 旗下公司为各个细分市场的创新客户提供服务 - 半导体行业、激光和空间技术、医疗技术、计量学和显示器行业。媒体联系人:Glas Trösch Group Andreas Scheib,传播主管/CCO 电子邮件:press@glastroesch.com
业界精英调查其它要点( 2024 年 7 月进行) - 74% 的受访者认为,曲线形状的 逆向 光刻技术( curvilinear ILT )对非 EUV 的 193i 前沿节点有 用 —— 其中 29% 的人强烈同意这一说法,而去年这一比例为 24% 。 - 55% 的受访者表示,前沿节点的一些关键层已经在使用 逆向 光刻技术( ILT ),这一比例较去 年的 46% 和两年前的 35% 有所上升。 - 光罩制造中的软件基础设施仍然是生产曲线形状光罩的最大挑战。 - 对深度学习应用的预测有所延迟,今年有 54% 的受访者预测深度学习将在 2025 年之前成为 光罩制造过程中任何环节的竞争优势,而去年这一预测为 2024 年。 “ 我们期待在 SPIE 光罩技术会议期间度过激动人心的一周,届时 eBeam Initiative 将举办第 15 届年度光罩会议,展示半导体生态系统对这一合作论坛的持续支持, ”eBeam Initiative 的 的主办 管理公司 D2S 的首席执行官 藤村 (Aki Fujimura) 表示。 “ 现在是加入光罩行业的绝佳时机,近年 来该行业取得了强劲增长 —— 这证明了光罩社区内杰出人才的贡献,也彰显了该行业在推动半 导体创新方面的重要性。今年 eBeam Initiative 业界精英 调查的绝大多数参与者 —— 他们代表了 行业内顶尖的商业和技术专家 —— 都认为这一增长趋势将在 2024 年继续,这无疑是个好消息。 ” About The eBeam Initiative 关于 eBeam Initiative (电子束倡议团) eBeam Initiative 是一个致力于推广和倡导电子束技术在半导体制造全新应用的团体;为有关 电 子束技术的教育和促进活动 提供相应的论坛。 eBeam Initiative 的目标是增加电子束技术应用在 半导体制造各领域中的投资;降低电子束技术应用的障碍,能够使更多集成电路设计完成,并 且更快投进市场成为可能。会员公司 , 涵盖整个半导体生态系统,包括 : aBeam Technologies; Advantest; Alchip Technologies; AMD; AMTC; Applied Materials; Artwork Conversion; ASML; Averroes.ai; Cadence Design Systems; Canon; CEA-Leti; D 2 S; Dai Nippon Printing; EQUIcon Software GmbH Jena; ESOL; EUV Tech; Fractilia; Fraunhofer IPMS; FUJIFILM Corporation; Fujitsu Semiconductor Limited; GenISys GmbH; GlobalFoundries (GF); Grenon Consulting; Hitachi High-Tech Corporation; HJL Lithography; HOLON CO., LTD; HOYA Corporation; IBM; imec; IMS CHIPS; IMS Nanofabrication AG; JEOL; KIOXIA; KLA; Micron Technology; Multibeam Corporation; NCS; NuFlare Technology; Petersen Advanced Lithography; Photronics; QY Mask; Samsung Electronics; Semiconductor Manufacturing International (Shanghai) Corporation (SMIC); Siemens EDA; STMicroelectronics; Synopsys; TASMIT; Tokyo Electron Ltd. (TEL); TOOL Corporation; Toppan Photomask Corporation; UBC Microelectronics; Vistec Electron Beam GmbH and ZEISS. eBeam Initiative 面向和欢迎所有电子工业的公司和协会加盟。细节请查看 www.ebeam.org .
Lam Research、Entegris、Gelest 联手推进 EUV 干光刻胶技术生态系统 2022 年 7 月 12 日 该合作为采用突破性技术的全球芯片制造商提供强大的化学品供应链,并支持下一代 EUV 应用的研发 旧金山,2022 年 7 月 12 日 /美通社/ -- SEMICON WEST 2022 -- Lam Research Corp. (NASDAQ: LRCX)、Entegris, Inc. (NASDAQ: ENTG) 和三菱化学集团旗下公司 Gelest, Inc 今天宣布达成战略合作,该合作将为全球半导体制造商提供可靠的前体化学品,用于 Lam 突破性的极紫外 (EUV) 光刻胶干光刻胶技术,这是生产下一代半导体的创新方法。 双方将共同致力于 EUV 干光刻胶技术研发,用于未来几代逻辑和 DRAM 产品,帮助实现从机器学习和人工智能到移动设备的一切。强大的工艺化学品供应链对于 EUV 干光刻胶技术融入大批量生产至关重要。这项新的长期合作进一步拓宽了干光刻胶技术不断发展的生态系统,并将提供来自半导体材料领导者的双源供应,确保在全球所有市场持续交付。此外,Lam、Entegris 和 Gelest 将共同努力,加速开发未来具有成本效益的 EUV 干光刻胶解决方案,用于高数值孔径 (high-NA) EUV 图案化。高 NA EUV 被广泛视为未来几十年器件持续缩小和半导体技术进步所需的图案化技术。干光刻胶提供高蚀刻抗性和可调节的沉积和开发厚度缩放,以支持高 NA EUV 降低的焦深要求。Lam Research 执行副总裁兼首席技术官 Rick Gottscho 表示:“干光刻胶技术是一项突破,它打破了使用 EUV 光刻技术扩展到未来 DRAM 节点和逻辑的最大障碍。” “此次合作将 Lam 的干光刻胶专业知识和尖端解决方案与两家行业前体化学品领导者的材料科学能力和值得信赖的供应渠道结合在一起。干光刻胶生态系统的这一重要扩展为该技术令人兴奋的新水平创新和大批量生产铺平了道路。”干光刻胶最初由 Lam 与 ASML 和 IMEC 合作开发,它提高了 EUV 光刻的分辨率、生产率和良率,从而解决了与创建下一代 DRAM 和逻辑技术相关的关键挑战。它提供了卓越的剂量与尺寸和剂量与缺陷率性能,从而提高了 EUV 扫描仪的生产率并降低了拥有成本。此外,Lam 的干光刻胶工艺比传统光刻胶工艺消耗更少的能源,原材料消耗减少五到十倍,从而提供了关键的可持续发展优势。“Lam 的干光刻胶方法体现了材料层面的关键创新,并提供了广泛的优势,包括更好的分辨率、更高的成本效益和令人信服的可持续发展优势,”Entegris 首席执行官 Bertrand Loy 表示。“我们很自豪能够成为这一创新合作的一部分,以加速干光刻胶的采用,并成为客户值得信赖的工艺材料供应商,帮助他们利用这一重要技术创造下一代半导体。”“我们与 Lam 和 Entegris 合作推进 EUV 光刻的干光刻胶,表明我们致力于支持芯片制造商在材料科学方面的创新,”三菱化学集团旗下公司 Gelest 总裁 Jonathan Goff 表示。“我们看到 EUV 近年来展现出非凡的价值,我们很高兴成为不断发展的生态系统的一部分,以扩大其潜力。”关于 Lam Research Lam Research Corporation 是一家为半导体行业提供创新晶圆制造设备和服务的全球供应商。 Lam 的设备和服务使客户能够制造更小、性能更好的设备。事实上,如今几乎每款先进芯片都是采用 Lam 技术制造的。我们将卓越的系统工程、技术领导力和强大的价值观文化与对客户的坚定承诺相结合。Lam Research (Nasdaq: LRCX) 是一家财富 500 强® 公司,总部位于加州弗里蒙特,业务遍布全球。了解更多信息,请访问 www.lamresearch.com (LRCX-T)“关于 Lam Research Lam Research Corporation 是面向半导体行业的创新晶圆制造设备和服务的全球供应商。Lam 的设备和服务使客户能够制造更小、性能更好的设备。事实上,如今几乎每个先进芯片都是采用 Lam 技术制造的。我们将卓越的系统工程、技术领导力和强大的价值观文化与对客户的坚定承诺相结合。Lam Research (Nasdaq: LRCX) 是一家财富 500 强® 公司,总部位于加利福尼亚州弗里蒙特,业务遍布全球。了解更多信息,请访问 www.lamresearch.com (LRCX-T)“关于 Lam Research Lam Research Corporation 是面向半导体行业的创新晶圆制造设备和服务的全球供应商。Lam 的设备和服务使客户能够制造更小、性能更好的设备。事实上,如今几乎每个先进芯片都是采用 Lam 技术制造的。我们将卓越的系统工程、技术领导力和强大的价值观文化与对客户的坚定承诺相结合。Lam Research (Nasdaq: LRCX) 是一家财富 500 强® 公司,总部位于加利福尼亚州弗里蒙特,业务遍布全球。了解更多信息,请访问 www.lamresearch.com (LRCX-T)